Pascal and Francis Bibliographic Databases

Help

Search results

Your search

au.\*:("ENDO, Kazuhiko")

Publication Year[py]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Discipline (document) [di]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Author Country

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Results 1 to 25 of 36

  • Page / 2
Export

Selection :

  • and

Electrochemical and surface studies on the passivity of a dental Pd-based casting alloy in alkaline sulphide solutionENDO, Kazuhiko; OHNO, Hiroki; MATSUDA, Koichi et al.Corrosion science. 2003, Vol 45, Num 7, pp 1491-1504, issn 0010-938X, 14 p.Article

Decomposition of On-Current Variability of nMOS FinFETs for Prediction Beyond 20 nmMATSUKAWA, Takashi; YONGXUN LIU; MIZUBAYASHI, Wataru et al.I.E.E.E. transactions on electron devices. 2012, Vol 59, Num 8, pp 2003-2010, issn 0018-9383, 8 p.Article

Grain-Orientation Induced Work Function Variation in Nanoscale Metal-Gate Transistors—Part II: Implications for Process, Device, and Circuit DesignDADGOUR, Hamed F; ENDO, Kazuhiko; DE, Vivek K et al.I.E.E.E. transactions on electron devices. 2010, Vol 57, Num 10, pp 2515-2525, issn 0018-9383, 11 p.Article

Variability Analysis of TiN FinFET SRAM Cells and Its Compensation by Independent-DG FinFETsENDO, Kazuhiko; O'UCHI, Shin-Ichi; ISHIKAWA, Yuki et al.IEEE electron device letters. 2010, Vol 31, Num 10, pp 1095-1097, issn 0741-3106, 3 p.Article

Effect of monomer composition on crystal growth by resin containing bioglassHASHIMOTO, Masanori; LIJIMA, Masahiro; NAGANO, Futami et al.Journal of biomedical materials research. Part B, Applied biomaterials. 2010, Vol 94, Num 1, pp 127-133, issn 1552-4973, 7 p.Article

Grain-Orientation Induced Work Function Variation in Nanoscale Metal-Gate Transistors—Part I: Modeling, Analysis, and Experimental ValidationDADGOUR, Hamed F; ENDO, Kazuhiko; DE, Vivek K et al.I.E.E.E. transactions on electron devices. 2010, Vol 57, Num 10, pp 2504-2514, issn 0018-9383, 11 p.Article

A 0.7-V Opamp in Scaled Low-Standby-Power FinFET Technology : Solid-State Circuit Design -Architecture, Circuit, Device and Design MethodologyO'UCHI, Shin-Ichi; ENDO, Kazuhiko; SAKAMOTO, Kunihiro et al.IEICE transactions on electronics. 2012, Vol 95, Num 4, pp 686-695, issn 0916-8524, 10 p.Article

Fabrication of FinFETs by damage-free neutral-beam etching technologyENDO, Kazuhiko; NODA, Shuichi; MATSUKAWA, Takashi et al.I.E.E.E. transactions on electron devices. 2006, Vol 53, Num 8, pp 1826-1833, issn 0018-9383, 8 p.Article

Demonstration, analysis, and device design considerations for independent DG MOSFETsMASAHARA, Meishoku; YONGXUN LIU; KOIKE, Hanpei et al.I.E.E.E. transactions on electron devices. 2005, Vol 52, Num 9, pp 2046-2053, issn 0018-9383, 8 p.Article

Vertical Ultrathin-channel Multi-gate MOSFETs (MuGFETs) : Technological Challenges and Future DevelopmentsMASAHARA, Meishoku; YONGXUN LIU; ENDO, Kazuhiko et al.IEEJ transactions on electrical and electronic engineering. 2009, Vol 4, Num 3, pp 386-391, issn 1931-4973, 6 p.Article

Independent-Double-Gate FinFET SRAM Technology : Special Section on Solid-State Circuit Design-Architecture, Circuit, Device and Design MethodologyENDO, Kazuhiko; OUCHI, Shin-Ichi; MATSUKAWA, Takashi et al.IEICE transactions on electronics. 2013, Vol 96, Num 4, pp 413-423, issn 0916-8524, 11 p.Article

Fluctuation Analysis of Parasitic Resistance in FinFETs With Scaled Fin ThicknessMATSUKAWA, Takashi; ENDO, Kazuhiko; MASAHARA, Meishoku et al.IEEE electron device letters. 2009, Vol 30, Num 4, pp 407-409, issn 0741-3106, 3 p.Article

Flex-pass-gate SRAM for static noise margin enhancement using FinFET-based technologyO'UCHI, Shin-Ichi; ENDO, Kazuhiko; MASAHARA, Meishoku et al.Solid-state electronics. 2008, Vol 52, Num 11, pp 1694-1702, issn 0038-1101, 9 p.Article

Optimum gate workfunction for Vth-controllable four-terminal-driven double-gate MOSFETs (4T -XMOSFETs)- : Band -edge workfunction versus midgap workfunctionMASAHARA, Meishoku; O'UCHI, Shin-Ichi; YONGXUN LIU et al.IEEE transactions on nanotechnology. 2006, Vol 5, Num 6, pp 716-722, issn 1536-125X, 7 p.Article

Mucus outflow from the appendiceal orifice due to an appendiceal mucoceleMINAGAWA, Masahiro; ISHIKAWA, Hiroyuki; DATE, Kazutoshi et al.Gastrointestinal endoscopy. 2001, Vol 53, Num 4, issn 0016-5107, p. 493Article

Demonstration of Split-Gate Type Trigate Flash Memory With Highly Suppressed Over-EraseKAMEI, Takahiro; YONGXUN LIU; OGURA, Atsushi et al.IEEE electron device letters. 2012, Vol 33, Num 3, pp 345-347, issn 0741-3106, 3 p.Article

High-Frequency Precise Characterization of Intrinsic FinFET ChannelSAKAI, Hideo; O'UCHI, Shinichi; SAKAMOTO, Kunihiro et al.IEICE transactions on electronics. 2012, Vol 95, Num 4, pp 752-760, issn 0916-8524, 9 p.Article

Enhancement of FinFET performance using 25-nm-thin sidewall spacer grown by atomic layer depositionENDO, Kazuhiko; ISHIKAWA, Yuki; MATSUKAWA, Takashi et al.Solid-state electronics. 2012, Vol 74, pp 13-18, issn 0038-1101, 6 p.Article

Metal-Gate FinFET Variation Analysis by Measurement and Compact ModelO'UCHI, Shin-Ichi; MATSUKAWA, Takashi; SUZUKI, Eiichi et al.IEEE electron device letters. 2009, Vol 30, Num 5, pp 556-558, issn 0741-3106, 3 p.Article

Dual metal gate FinFET integration by Ta/Mo diffusion technology for Vt reduction and multi-Vt CMOS applicationMATSUKAWA, Takashi; ENDO, Kazuhiko; MASAHARA, Meishoku et al.Solid-state electronics. 2009, Vol 53, Num 7, pp 701-705, issn 0038-1101, 5 p.Conference Paper

A Ta/Mo Interdiffusion Dual Metal Gate Technology for Drivability Enhancement of FinFETsMATSUKAWA, Takashi; ENDO, Kazuhiko; SUZUKI, Eiichi et al.IEEE electron device letters. 2008, Vol 29, Num 6, pp 618-620, issn 0741-3106, 3 p.Article

Four-terminal FinFETs fabricated using an etch-back gate separationENDO, Kazuhiko; ISHIKAWA, Yuki; SUZUKI, Eiichi et al.IEEE transactions on nanotechnology. 2007, Vol 6, Num 2, pp 201-205, issn 1536-125X, 5 p.Article

Fin-Height Effect on Poly-Si/PVD-TiN Stacked-Gate FinFET PerformanceHAYASHIDA, Tetsuro; ENDO, Kazuhiko; KOSEMURA, Daisuke et al.I.E.E.E. transactions on electron devices. 2012, Vol 59, Num 3, pp 647-653, issn 0018-9383, 7 p.Article

Variability Origins of Parasitic Resistance in FinFETs With Silicided Source/DrainMATSUKAWA, Takashi; YONGXUN LIU; ENDO, Kazuhiko et al.IEEE electron device letters. 2012, Vol 33, Num 4, pp 474-476, issn 0741-3106, 3 p.Article

Design Optimization of FinFET Domino Logic Considering the Width Quantization PropertySEID HADI RASOULI; DADGOUR, Hamed F; ENDO, Kazuhiko et al.I.E.E.E. transactions on electron devices. 2010, Vol 57, Num 11, pp 2934-2943, issn 0018-9383, 10 p.Article

  • Page / 2