Pascal and Francis Bibliographic Databases

Help

Search results

Your search

kw.\*:("Densité élevée")

Document Type [dt]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Publication Year[py]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Discipline (document) [di]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Language

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Author Country

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Results 1 to 25 of 7557

  • Page / 303
Export

Selection :

  • and

High-density limit and inflation of matterMANOUKIAN, E. B; SIRININLAKUL, S.Physical review letters. 2005, Vol 95, Num 19, pp 190402.1-190402.3, issn 0031-9007Article

Exothermic reaction induced by high density current in metals : Possible nuclear originDUFOUR, Jacques; MURAT, Denis; DUFOUR, Xavier et al.Annales de la Fondation Louis de Broglie. 2004, Vol 29, pp 1081-1093, issn 0182-4295, 13 p., HS3Conference Paper

Hybsweeper : a resource for detecting high-density plate gridding coordinatesLAZO, Gerard R; LUI, Nancy; GU, Yong Q et al.BioTechniques. 2005, Vol 39, Num 3, pp 320-324, issn 0736-6205, 3 p.Article

Optimum design of dual-control gate cell for high-density EEPROM'sHIEDA, K; WADA, M; SHIBATA, T et al.I.E.E.E. transactions on electron devices. 1985, Vol 32, Num 9, pp 1776-1780, issn 0018-9383Article

Mathematical modeling of the reactive modification of high-density polyethylene. effect of vinyl contentBRANDOLIN, Adriana; SARMORIA, Claudia; FAILLA, Marcelo D et al.Industrial & engineering chemistry research. 2007, Vol 46, Num 23, pp 7561-7570, issn 0888-5885, 10 p.Article

Design and reliability study of high-density μZ<TM>-ball stack technologyMOHAMMED, Ilyas; KIM, Young-Gon.SPIE proceedings series. 2002, pp 831-838, isbn 0-930815-66-1, 8 p.Conference Paper

Development and Implementation of a Perfusion-Based High Cell Density Cell Banking ProcessYIWEN TAO; SHIH, Jennifer; SINACORE, Marty et al.Biotechnology progress. 2011, Vol 27, Num 3, pp 824-829, issn 8756-7938, 6 p.Article

Yield prediction in a continuous high-density polyethylene solution polymerization staged reaction process systemBUCHELLI, Alberto; TODD, William G.Industrial & engineering chemistry research. 2006, Vol 45, Num 22, pp 7451-7461, issn 0888-5885, 11 p.Article

Vertically high density interconnection for mobile applicationKATAHIRA, Takayoshi; KARTIO, Ilkka; SEGAWA, Hiroshi et al.SPIE proceedings series. 2003, pp 380-385, isbn 0-8194-5189-4, 6 p.Conference Paper

Cross-hybridization of closely related genes on high-density macroarraysMILLER, N. A; GONG, Q; BRYAN, R et al.BioTechniques. 2002, Vol 32, Num 3, pp 620-625, issn 0736-6205Article

A new high-density low-voltage SSIMOS EEPROM cellIPRI, A. C; STEWART, R. G; FARAONE, L et al.I.E.E.E. transactions on electron devices. 1985, Vol 32, Num 4, pp 758-765, issn 0018-9383Article

Fabrication ans field emission characteristics of high density carbon nanotubes microarraysCHUANG, C.-C; HUANG, J. H; LEE, C.-C et al.International Vacuum Nanoelectronics Conference. 2004, pp 220-221, isbn 0-7803-8397-4, 1Vol, 2 p.Conference Paper

Trench capacitor leakage in high-density DRAM'sELAHY, M; SHICHIJO, H; CHATTERJEE, P. K et al.IEEE electron device letters. 1984, Vol 5, Num 12, pp 527-530, issn 0741-3106Article

Decoupled 4T dynamic CAM suitable for high density storageCHAE, M; LEE, J.-W; HONG, S. H et al.Electronics letters. 2011, Vol 47, Num 7, pp 434-436, issn 0013-5194, 3 p.Article

Field electron emission from ultra-high density Si nanotip arraysZHAO, K; SHE, J. C; JUN ZHOU et al.International Vacuum Nanoelectronics Conference. 2004, pp 274-275, isbn 0-7803-8397-4, 1Vol, 2 p.Conference Paper

Write equalization in high-linear-density magnetic recordingSCHNEIDER, R. C.IBM journal of research and development. 1985, Vol 29, Num 6, pp 563-568, issn 0018-8646Article

Motif-based communities in complex networksARENAS, A; FERNANDEZ, A; FORTUNATO, S et al.Journal of physics. A, Mathematical and theoretical (Print). 2008, Vol 41, Num 22, issn 1751-8113, 244001.1-244001.8Conference Paper

Carbon nanotube thin films with ordered structuresCHUNSHENG DU; YEH, Jeff; NING PAN et al.Journal of material chemistry. 2005, Vol 15, Num 5, pp 548-550, issn 0959-9428, 3 p.Article

Field emission properties of ultra-high density vertical aligned silicon nanowiresSHE, J. C; DENG, S. Z; JUN CHEN et al.International Vacuum Nanoelectronics Conference. 2004, pp 152-153, isbn 0-7803-8397-4, 1Vol, 2 p.Conference Paper

High-density bubble memory devicesORIHARA, S; MAJIMA, T; MATSUYAMA, S et al.Fujitsu scientific and technical journal. 1985, Vol 21, Num 3, pp 380-394, issn 0016-2523Article

A 288 K CMOS EPROM with redundancyYOSHIDA, M; HIGUCHI, M; MIYASAKA, K et al.IEEE journal of solid-state circuits. 1983, Vol 18, Num 5, pp 544-550, issn 0018-9200Article

Design consideration of a static memory cellANAMI, K; YOSHIMOTO, M; SHINOHARA, H et al.IEEE journal of solid-state circuits. 1983, Vol 18, Num 4, pp 414-418, issn 0018-9200Article

HOT-ELECTRON DESIGN CONSIDERATIONS FOR HIGH-DENSITY RAM CHIPSTROUTMAN RR; HARROUN TV; COTTRELL PE et al.1980; IEEE TRANS. ELECTRON. DEVICES; ISSN 0018-9383; USA; DA. 1980; VOL. 27; NO 8; PP. 1629-1640; BIBL. 14 REF.Article

PRODUCTION OF A HIGH DENSITY HYDROGEN GAS JET. = PRODUCTION D'UN JET GAZEUX D'HYDROGENE A DENSITE ELEVEEGROSS DA; MELISSINOS A.1975; NUCL. INSTRUM. METHODS; NETHERL.; DA. 1975; VOL. 130; NO 1; PP. 1-13; BIBL. 13 REF.Article

TISSAGE OU CABLAGE. UNE MACHINE A CABLER SEMI-AUTOMATIQUEGOUPIL A.1973; ELECTRON. MICROELECTRON. INDUSTR.; FR.; DA. 1973; NO 169; PP. 46-47Serial Issue

  • Page / 303