Pascal and Francis Bibliographic Databases

Help

Search results

Your search

kw.\*:("MICROPROGRAMMING")

Document Type [dt]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Publication Year[py]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Discipline (document) [di]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Language

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Author Country

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Results 1 to 25 of 717

  • Page / 29
Export

Selection :

  • and

Adding flexibility to a powerful but inflexible meta-assembly language through a smooth software engineering taskSKORDALAKIS, E.Angewandte Informatik. 1984, Num 3, pp 113-120, issn 0013-5704Article

Global compaction of horizontal microprograms based on the generalized data dependency graphISODA, S; KOBAYASHI, Y; ISHIDA, T et al.IEEE transactions on computers. 1983, Vol 32, Num 10, pp 922-933, issn 0018-9340Article

A synthesis system for PLA-based programmable hardwareGRASS, W.Microprocessing and microprogramming. 1983, Vol 12, Num 1, pp 15-31, issn 0165-6074Article

A symbolic simulator for microprogram developmentABBOTT, C.IEEE transactions on computers. 1983, Vol 32, Num 8, pp 770-774, issn 0018-9340Article

A simple way to near minimization of microprogram control memorySRIMANI, P. K; SINHA, B. P.International journal of computer & information sciences. 1983, Vol 12, Num 1, pp 47-64, issn 0091-7036Article

ISSUES OF THE DESIGN OF A LOW LEVEL MICROPROGRAMMING LANGUAGE FOR GLOBAL MICROCODE COMPACTIONPOE MD; GOODELL R; STEELY S JR et al.1981; MICRO 14. ANNUAL MICROPROGRAMMING WORKSHOP. 14/1981-10-12/CHATHAM MA; USA; NEW YORK: IEEE; DA. 1981; PP. 88-94; BIBL. 22 REF.Conference Paper

Applying algebraic simulation to machine-independent microcode synthesisMUELLER, R. A; VARGHESE, J.Microprocessing and microprogramming. 1983, Vol 11, Num 2, pp 107-115, issn 0165-6074Article

MIKROINSTRUKCJE I ICH ZESPOLY = LES MICROINSTRUCTIONS ET LEURS ENSEMBLESTRACZYK W.1979; ARCH. AUTOMAT. TELEMECH.; POL; DA. 1979; VOL. 24; NO 4; PP. 425-442; ABS. RUS/ENG; BIBL. 7 REF.Article

A TECHNIQUE OF GLOBAL OPTIMIZATION OF MICROPROGRAMSTOKORO M; TAKIKUKA T; TAMURA E et al.1978; MICRO 11. ANNUAL MICROPROGRAMMING WORKSHOP. 11/1978-11-19/PACIFIC GROVE CA; USA; NEW YORK: INSTITUTE OF ELECTRICAL AND ELECTRONICS ENGINEERS; DA. 1978; PP. 41-50; BIBL. 11 REF.Conference Paper

WRITABLE CONTROL STORE SAVES MICROPROGRAMMING TIME AND EXPENSE = MEMOIRE VIVE DE COMMANDE QUI ECONOMISE DES FRAIS ET DU TEMPS DE PROGRAMMATIONOBERZEIR JA.1979; ELECTRONICS; USA; DA. 1979; VOL. 52; NO 13; PP. 121-125Article

ON THE PACKING OF MICRO-OPERATIONS INTO MICRO-INSTRUCTION WORDSWOOD G.1978; MICRO 11. ANNUAL MICROPROGRAMMING WORKSHOP. 11/1978-11-19/PACIFIC GROVE CA; USA; NEW YORK: INSTITUTE OF ELECTRICAL AND ELECTRONICS ENGINEERS; DA. 1978; PP. 51-55; BIBL. 8 REF.Conference Paper

Don't-cares in the concurrency matrix for bit steeringAYAKANNU MATHIALAGAN; BISWAS, N. N.International journal of electronics. 1983, Vol 55, Num 2, pp 271-273, issn 0020-7217Article

Microprogramming with microprocessorsLIEBIG, H.Microprocessing and microprogramming. 1983, Vol 12, Num 1, pp 43-52, issn 0165-6074Article

Protecting software and firmware developmentsLECHTER, M. A.Computer (Long Beach, CA). 1983, Vol 16, Num 8, pp 73-82, issn 0018-9162Article

Rechnergestützte Mikroprogrammierung = Computer-aided microprogrammingMÜLLER, A; MUNKE, A.Wissenschaftliche Zeitschrift der Technischen Universität Karl-Marx-Stadt. 1989, Vol 31, Num 4, pp 487-492, issn 0863-0615, 6 p.Article

MICROPROCESSOR FIRMWARE UPDATE INVENTORY MODELBRECHER SM.1981; BELL SYST. TECH. J.; ISSN 0005-8580; USA; DA. 1981; VOL. 60; NO 10; PP. 2293-2306; BIBL. 1 REF.Article

FIRMWARE-ENGINEERING: EINE UEBERSICHT = INGENIERIE DE LA MICROPROGRAMMATION: UN APERCUBERG HK.1980; INFORM.-SPEKTRUM; DEU; DA. 1980; VOL. 3; NO 2; PP. 87-104; ABS. ENG; BIBL. 69 REF.Article

SPECIAL APPLICATIONS FIRMWARE- A PANEL DISCUSSION. IBERNDT H; HASSIT A; LAWSON HW et al.1978; EUROMICRO J.; NLD; DA. 1978; VOL. 4; NO 5; PP. 293-296; BIBL. 13 REF.Article

VERIFICATION OF THE FTSC MICROPROGRAMVAN MIEROP D; MARCUS L; CROCKER S et al.1978; MICRO 11. ANNUAL MICROPROGRAMMING WORKSHOP. 11/1978-11-19/PACIFIC GROVE CA; USA; NEW YORK: INSTITUTE OF ELECTRICAL AND ELECTRONICS ENGINEERS; DA. 1978; PP. 118Conference Paper

JEZYKI MIKROPROGRAMOWANIA W AUTOMATYZACJI GENEROWANIA MIKROPROGRAMOW = LANGAGES DE MICROPROGRAMMATION EN GENERATION AUTOMATIQUE DE PROGRAMMESPULCZYN WT.1978; PRACE I.P.I. P.A.N.; POL; DA. 1978; NO 328; PP. 1-20; BIBL. 12 REF.Serial Issue

GENERAL MICROPROGRAM WIDTH REDUCTION USING GENERATOR SETSMARTINEZ CARBALLIDO JF; POWERS VM.1981; MICRO 14. ANNUAL MICROPROGRAMMING WORKSHOP. 14/1981-10-12/CHATHAM MA; USA; NEW YORK: IEEE; DA. 1981; PP. 144-153; BIBL. 16 REF.Conference Paper

GRAPH THEORY IN MICROPROGRAMMING: AN ALTERNATE APPROACH TO DESIGNING MICRO-CODE FOR MICROPROCESSINGANDREWS M; SANJIV BHATNAGAR.1980; COMPUT. ELECTR. ENG.; ISSN 0045-7906; USA; DA. 1980; VOL. 7; NO 3; PP. 177-183; BIBL. 5 REF.Article

MICRODARE: A FAST, DIRECT-EXECUTING HIGH-LEVEL LANGUAGE SYSTEM FOR SMALL COMPUTERSKORN GA.1979; COMPUTER; USA; DA. 1979; VOL. 12; NO 10; PP. 61-71; BIBL. 10 REF.Article

PASC-HLL: AN EXPERIENCE IN DESIGN TECHNIQUES FOR FIRMWARE AND THE SUPPORTING HARDWARESCHOELLKOPF JP.1978; MICRO 11. ANNUAL MICROPROGRAMMING WORKSHOP. 11/1978-11-19/PACIFIC GROVE CA; USA; NEW YORK: INSTITUTE OF ELECTRICAL AND ELECTRONICS ENGINEERS; DA. 1978; PP. 81; BIBL. 3 REF.Conference Paper

A preliminary evaluation of trace scheduling for global microcode compactionGRISHMAN, R; SU BOGONG.IEEE transactions on computers. 1983, Vol 32, Num 12, pp 1191-1194, issn 0018-9340Article

  • Page / 29