Pascal and Francis Bibliographic Databases

Help

Search results

Your search

kw.\*:("Photolithographie")

Document Type [dt]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Publication Year[py]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Discipline (document) [di]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Language

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Author Country

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Origin

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Results 1 to 25 of 9374

  • Page / 375
Export

Selection :

  • and

Photomask and next-generation lithography mask technology XIII (18-20 April, 2006, Yokohama, Japan)Hoga, Morihisa.Proceedings of SPIE, the International Society for Optical Engineering. 2006, issn 0277-786X, isbn 0-8194-6358-2, 2 vol, isbn 0-8194-6358-2Conference Proceedings

Messtechnische Erfassung der Bildleistung photolithographischer Objektive durch Wellenflächenanalyse = Estimation métrologique des performances image en photolithogravure par analyse du front d'onde = Metrological assessment of the imaging performance of photolithographic objectives by wave front analysisFREITAG, W; GROSSMANN, W; GRUNEWALD, U et al.Experimentelle Technik der Physik. 1988, Vol 36, Num 6, pp 417-428, issn 0014-4924Article

Photomask technology 2006 (19-22 September, 2006, Monterey, California, USA)Martin, Patrick M; Naber, Robert J.Proceedings of SPIE, the International Society for Optical Engineering. 2006, issn 0277-786X, isbn 0-8194-6444-9, 2Vol, pagination multiple, isbn 0-8194-6444-9Conference Proceedings

Thin-Film Processing Realities for Tbit/in2 RecordingFONTANA, Robert E; ROBERTSON, Neil; HETZLER, Steven R et al.IEEE transactions on magnetics. 2008, Vol 44, Num 11, pp 3617-3620, issn 0018-9464, 4 p., 2Conference Paper

A micropatterned carbohydrate display for tissue engineering by self-assembly of heparinSATO, Hajime; MIURA, Yoshiko; SAITO, Nagahiro et al.Surface science. 2007, Vol 601, Num 18, pp 3871-3875, issn 0039-6028, 5 p.Conference Paper

Understanding deviations in lithographic patterns near interfaces : Characterization of bottom anti-reflective coatings (BARC) and the BARC-resist interfaceLENHART, Joseph L; FISCHER, Daniel; SAMBASIVAN, Sharadha et al.Applied surface science. 2007, Vol 253, Num 9, pp 4166-4175, issn 0169-4332, 10 p.Article

Implementing and Validating Double Patterning in 22 nm to 16 nm Product-Design and Patterning FlowsNOH, Myung-Soo; SEO, Beom-Seok; LEE, Suk-Joo et al.Proceedings of SPIE, the International Society for Optical Engineering. 2010, Vol 7640, issn 0277-786X, isbn 978-0-8194-8054-5 0-8194-8054-1, 76400S.1-76400S.11, 2Conference Paper

Optical microlithography XXIII (23-25 February 2010, San Jose, California, United States)Dusa, Mircea V; Conley, Willard.Proceedings of SPIE, the International Society for Optical Engineering. 2010, Vol 7640, issn 0277-786X, isbn 978-0-8194-8054-5 0-8194-8054-1, 2 vol, 2, isbn 978-0-8194-8054-5 0-8194-8054-1Conference Proceedings

Optical microlithography XXII (24-27 February 2009, San Jose, California, United States)Levinson, Harry J; Dusa, Mircea V.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7274, issn 0277-786X, isbn 978-0-8194-7527-5 0-8194-7527-0, 2 vol, 2, isbn 978-0-8194-7527-5 0-8194-7527-0Conference Proceedings

More evolved PGSD (Proximity Gap Suction Developer) for controlling movement of dissolution productsSAKURAI, Hideaki; OPPATA, Yukio; KAMEI, Shigenori et al.Proceedings of SPIE, the International Society for Optical Engineering. 2006, pp 63494J.1-63494J.8, issn 0277-786X, isbn 0-8194-6444-9, 2VolConference Paper

Photolithography at 193 nmROTHSCHILD, M; GOODMAN, R. B; HARTNEY, M. A et al.Journal of vacuum science & technology. B. Microelectronics and nanometer structures. Processing, measurement and phenomena. 1992, Vol 10, Num 6, pp 2989-2996, issn 1071-1023Conference Paper

Limits of optical lithographyWILCZYNSKI, J. S.Polymer engineering and science. 1986, Vol 26, Num 16, issn 0032-3888, 1145Article

Cost-effective SU-8 micro-structures by DUV excimer laser lithography for label-free biosensingSANZA, F. J; LAGUNA, M. F; PUCHADES, R et al.Applied surface science. 2011, Vol 257, Num 12, pp 5403-5407, issn 0169-4332, 5 p.Conference Paper

Facile synthesis of large-scale Ag nanosheet-assembled films with sub-10 nm gaps as highly active and homogeneous SERS substratesZHONGBO LI; GUOWEN MENG; TING LIANG et al.Applied surface science. 2013, Vol 264, pp 383-390, issn 0169-4332, 8 p.Article

Development of SMD 32.768 kHz tuning fork type crystals Part III : Design optimization of mass-production capable quartz tuning fork resonatorsLEE, Sungkyu; MOON, Yangho; SEO, Won-Seon et al.International journal of materials research. 2007, Vol 98, Num 3, pp 239-245, issn 1862-5282, 7 p.Article

Advances in resist materials and processing technology XXVII (22-24 February 2010, San Jose, California, United States)Allen, Robert D; Somervell, Mark Howell.Proceedings of SPIE, the International Society for Optical Engineering. 2010, Vol 7639, issn 0277-786X, isbn 978-0-8194-8053-8 0-8194-8053-3, 2 vol, 2, isbn 978-0-8194-8053-8 0-8194-8053-3Conference Proceedings

Optical microlithography XXI (26-29 February 2008, San Jose, California, USA)Levinson, Harry J; Dusa, Mircea V.Proceedings of SPIE, the International Society for Optical Engineering. 2008, issn 0277-786X, isbn 978-0-8194-7109-3, 3 v, isbn 978-0-8194-7109-3Conference Proceedings

157-nm Photomask handling and infrastructure: Requirements and feasibilityCULLINS, Jerry; MUZIO, Ed.SPIE proceedings series. 2001, pp 52-60, isbn 0-8194-4032-9, 2VolConference Paper

Prospects for using existing resists for evaluating 157-nm imaging systemsFEDYNYSHYN, Theodore H; KUNZ, Roderick R; DORAN, Scott P et al.SPIE proceedings series. 2000, pp 335-346, isbn 0-8194-3617-8Conference Paper

Application of development-free vapor photolithography in etching silicon nitrideXIAOYIN HONG; SHENGQUAN DUAN; JIANPING LU et al.SPIE proceedings series. 1998, pp 478-486, isbn 0-8194-2776-4Conference Paper

Optical microlithography XX (27 February-2 March 2007, San Jose, California, USA)Flagello, Donis G.Proceedings of SPIE, the International Society for Optical Engineering. 2007, issn 0277-786X, isbn 978-0-8194-6639-6, 3 v, isbn 978-0-8194-6639-6Conference Proceedings

Microparticles of all shapes and chemistriesMUKHOPADHYAY, Rajendrani.Analytical chemistry (Washington, DC). 2006, Vol 78, Num 13, pp 4247-4247, issn 0003-2700, 1 p.Article

Optical microlithography XXIV (1-3 March 2011, San Jose, California, United States)Dusa, Mircea V.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7973, issn 0277-786X, isbn 978-0-8194-8532-8, 2 vol, 2, isbn 978-0-8194-8532-8Conference Proceedings

Extreme ultraviolet (EUV) lithography (22-25 February 2010, San Jose, California, United States)La Fontaine, Bruno M.Proceedings of SPIE, the International Society for Optical Engineering. 2010, Vol 7636, issn 0277-786X, isbn 978-0-8194-8050-7 0-8194-8050-9, 2 vol, 2, isbn 978-0-8194-8050-7 0-8194-8050-9Conference Proceedings

Achieving overlay budgets for double patterningHAZELTON, Andrew J; MAGOME, Nobutaka; WAKAMOTO, Shinji et al.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7274, issn 0277-786X, isbn 978-0-8194-7527-5 0-8194-7527-0, 72740X.1-72740X.11, 2Conference Paper

  • Page / 375