Pascal and Francis Bibliographic Databases

Help

Search results

Your search

kw.\*:("Fabrication microélectronique")

Filter

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Document Type [dt]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Publication Year[py]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Discipline (document) [di]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Language

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Author Country

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Origin

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Results 1 to 25 of 25317

  • Page / 1013
Export

Selection :

  • and

Desorption from spin-on glassTOMPKINS, H. G; TRACY, C.Journal of the Electrochemical Society. 1989, Vol 136, Num 8, pp 2331-2335, issn 0013-4651, 5 p.Article

New GaAs quantum wires on {111}B facets by selective MOCVDFUKUI, T; ANDO, S.Electronics Letters. 1989, Vol 25, Num 6, pp 410-412, issn 0013-5194, 3 p.Article

29th international electronics manufacturing technology symposium (San Jose CA CA, 14-16 July 2004)IEEE/CPMT/SEMI international electronics manufacturing technology. Symposium. 2004, isbn 0-7803-8582-9, 1Vol, XII-354 p, isbn 0-7803-8582-9Conference Proceedings

2000 International Symposium on Semiconductor ManufacturingHATTORI, Takeshi.IEEE transactions on semiconductor manufacturing. 2001, Vol 14, Num 3, pp 180-206, issn 0894-6507Conference Proceedings

Development of high contrast siloxane electron-beam resist and application for submicron pattern transferSUGITO, S; ISHIDA, S; IIDA, Y et al.NEC research & development. 1989, Num 92, pp 18-24, issn 0547-051X, 7 p.Article

Laser writing of high-purity gold linesJUBBER, M; WILSON, J. I. B; DAVIDSON, J. L et al.Applied physics letters. 1989, Vol 55, Num 14, pp 1477-1479, issn 0003-6951, 3 p.Article

A planarization technology using a bias-deposited dielectric film and an etch-back processFUJII, S; FUKUMOTO, M; FUSE, G et al.I.E.E.E. transactions on electron devices. 1988, Vol 35, Num 11, pp 1829-1833, issn 0018-9383, 1Article

Improvement of latchup hardness by geometry and technology tuningMAZURE, C; RECZEK, W; TAKACS, D et al.I.E.E.E. transactions on electron devices. 1988, Vol 35, Num 10, pp 1609-1615, issn 0018-9383Article

Advanced short-time thermal processing for Si-based CMOS devices (Paris, 27 April - 2 May 2003)Roozeboom, F; Gusev, E.P; Chen, L.J et al.Proceedings - Electrochemical Society. 2003, issn 0161-6374, isbn 1-56677-396-2, XIV, 480 p, isbn 1-56677-396-2Conference Proceedings

Lens heating induced focus drift of i-line Step & scan: Correction and control in a manufacturing environmentHO, Grace H; CHENG, Anthony T; YEH, Hsiao-L et al.SPIE proceedings series. 2001, pp 289-296, isbn 0-8194-4030-2Conference Paper

Spin coating: one-dimensional modelBORNSIDE, D. E; MACOSKO, C. W; SCRIVEN, L. E et al.Journal of applied physics. 1989, Vol 66, Num 11, pp 5185-5193, issn 0021-8979, 9 p.Article

Direct writing of copper film patterns by laser-induced decomposition of copper acetateHARISH, C. M; KUMAR, V; PRABHAKAR, A et al.Journal of the Electrochemical Society. 1988, Vol 135, Num 11, pp 2903-2904, issn 0013-4651Article

Evolution of material boundaries under ion bombardmentROSS, D. S.Journal of the Electrochemical Society. 1988, Vol 135, Num 5, pp 1260-1266, issn 0013-4651Article

MNE'08. The 34th International Conference on Micro- and Nano-Engineering (MNE)RAPTIS, Ioannis; GOGOLIDES, Evangelos.Microelectronic engineering. 2009, Vol 86, Num 4-6, issn 0167-9317, 1100 p.Conference Proceedings

W-CMP alignment using ASML's ATHENA system on an I-line StepperPRASAD, K. John; RAJAN, D. Arunagiri; TAN YEW KONG et al.SPIE proceedings series. 2001, pp 79-88, isbn 0-8194-4030-2Conference Paper

Coulomb interactions in a shaped ion beam pattern generatorVIJGEN, L. J; KRUIT, P.Journal of vacuum science & technology. B. Microelectronics and nanometer structures. Processing, measurement and phenomena. 1992, Vol 10, Num 6, pp 2809-2813, issn 1071-1023Conference Paper

High-resolution imaging of defects in III-V compound wafers by near-infra-red phase contrast microscopyMONTGOMERY, P. C; FILLARD, J. P.Electronics Letters. 1989, Vol 25, Num 2, pp 89-90, issn 0013-5194, 2 p.Article

Reactively sputtered WSiN film suppresses As and Ga outdiffusionASAI, K; SUGAHARA, H; MATSUOKA, Y et al.Journal of vacuum science and technology. B. Microelectronics processing and phenomena. 1988, Vol 6, Num 5, pp 1526-1529, issn 0734-211XArticle

The use of vector scanning for producing arbitrary surface contours with a focused ion beamCROW, G; PURETZ, J; ORLOFF, J et al.Journal of vacuum science and technology. B. Microelectronics processing and phenomena. 1988, Vol 6, Num 5, pp 1605-1607, issn 0734-211XConference Paper

Optical microlithography XX (27 February-2 March 2007, San Jose, California, USA)Flagello, Donis G.Proceedings of SPIE, the International Society for Optical Engineering. 2007, issn 0277-786X, isbn 978-0-8194-6639-6, 3 v, isbn 978-0-8194-6639-6Conference Proceedings

Nanoimprint lithography techniques : an introductionSCHEER, H.-C.Proceedings of SPIE, the International Society for Optical Engineering. 2006, pp 62810N.1-62810N.10, issn 0277-786X, isbn 0-8194-6356-6, 1VolConference Paper

Materials for advanced metallization MAM 2006. International workshopTORRES, J; MADAR, R; CHENEVIER, B et al.Microelectronic engineering. 2006, Vol 83, Num 11-12, pp 2036-2440, issn 0167-9317, 404 p.Conference Paper

High resolution templates for step and flash imprint lithographyRESNICK, D. J; DAUKSHER, W. J; SREENIVASAN, S. V et al.SPIE proceedings series. 2002, pp 205-213, isbn 0-8194-4434-0, 2VolConference Paper

Lithography strategy for 65nm nodeBORODOVSKY, Y; SCHENKER, R; ALLEN, G et al.SPIE proceedings series. 2002, pp 1-14, isbn 0-8194-4517-7, 14 p.Conference Paper

Photoresist microparabolas for beam steeringROTICH, S. K; SMITH, J. G; EVANS, A. G. R et al.SPIE proceedings series. 1998, pp 349-356, isbn 0-8194-2726-8Conference Paper

  • Page / 1013