Pascal and Francis Bibliographic Databases

Help

Search results

Your search

kw.\*:("Grabado seco")

Document Type [dt]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Publication Year[py]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Discipline (document) [di]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Language

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Author Country

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Results 1 to 25 of 404

  • Page / 17
Export

Selection :

  • and

Fabrication of vertical periodic structure on InP and GaAs using only etching gasKOKUBO, Y; OKAMOTO, S.Electronics Letters. 2007, Vol 43, Num 22, pp 1233-1234, issn 0013-5194, 2 p.Article

A systematic dry etching process for profile control of quantum dots and nanoconstrictionsSUTIKNO, Madnarski; HASHIM, Uda; ZUL AZHAR ZAHID JAMAL et al.Microelectronics journal. 2007, Vol 38, Num 8-9, pp 823-827, issn 0959-8324, 5 p.Article

Paraffin surfaces for culture-based detection of mycobacteria in environmental samplesGAOSHAN JING; ELURU, Hima B; POLACZYK, Amy et al.Journal of micromechanics and microengineering (Print). 2005, Vol 15, Num 2, pp 270-276, issn 0960-1317, 7 p.Article

Status of laminar grating manufacturing via lithography at HZBLEMKE, S; SELIGER, T; RUDOLPH, I et al.Microsystem technologies. 2014, Vol 20, Num 10-11, pp 2061-2064, issn 0946-7076, 4 p.Conference Paper

Black silicon method X : a review on high speed and selective plasma etching of silicon with profile control: an in-depth comparison between Bosch and cryostat DRIE processes as a roadmap to next generation equipmentJANSEN, H. V; DE BOER, M. J; UNNIKRISHNAN, S et al.Journal of micromechanics and microengineering (Print). 2009, Vol 19, Num 3, issn 0960-1317, 033001.1-033001.41Article

Multi-layer resist system for 45nm node and beyond (II)FUJIMURA, Yukihiro; MORIMOTO, Jumpei; ENOMOTO, Tomoyuki et al.Proceedings of SPIE, the International Society for Optical Engineering. 2006, pp 634936.1-634936.8, issn 0277-786X, isbn 0-8194-6444-9, 2VolConference Paper

Interfacial adhesion and superhydrophobicity modulated with polymeric nanopillars using integrated nanolithographyYANG, Zong-Han; CHIEN, Fan-Ching; KUO, Chiung-Wen et al.Journal of micromechanics and microengineering (Print). 2012, Vol 22, Num 12, issn 0960-1317, 125026.1-125026.11Article

Self-assembly and transfer of photoresist suspended over trenches for microbeam fabrication in MEMSZI YANG WU; HENG YANG; XIN XIN LI et al.Journal of micromechanics and microengineering (Print). 2010, Vol 20, Num 11, issn 0960-1317, 115014.1-115014.4Article

Method of creation of monomolecular transistor with overhanging electrodesSAPKOV, I. V; SOLDATOV, E. S; ELENSKY, V. G et al.Proceedings of SPIE, the International Society for Optical Engineering. 2008, Vol 7025, pp 70250P.1-70250P.8, issn 0277-786X, isbn 978-0-8194-7238-0 0-8194-7238-7Conference Paper

Fabrication and evaluation of LiNbO3 periodic waveguide with etched groovesENOKIHARA, A; SUZUKI, A; ADACHI, J et al.Electronics Letters. 2007, Vol 43, Num 11, pp 629-630, issn 0013-5194, 2 p.Article

Room temperature operated diffraction limited λ ~ 3 μm diode lasers with 37 mW of continuous-wave output powerHOSODA, T; LIANG, R; KIPSHIDZE, G et al.Electronics letters. 2013, Vol 49, Num 10, pp 667-669, issn 0013-5194, 3 p.Article

Important challenges for line-width-roughness reductionYAEAGSHI, Hidetami; KUSHIBIKI, M; Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7273, issn 0277-786X, isbn 978-0-8194-7526-8 0-8194-7526-2, 72732H.1-72732H.6, 2Conference Paper

Fluorinated materials for UV nanoimprint lithographyKAWAGUCHI, Yasuhide; NONAKA, Fumiko; SANADA, Yasuhiro et al.Microelectronic engineering. 2007, Vol 84, Num 5-8, pp 973-976, issn 0167-9317, 4 p.Conference Paper

Nearly amorphous Mo-N gratings for ultimate resolution in extreme ultraviolet interference lithographyWANG, L; KIRK, E; WÄCKERLIN, C et al.Nanotechnology (Bristol. Print). 2014, Vol 25, Num 23, issn 0957-4484, 235305.1-235305.7Article

Production of vertical nanowire resonators by cryogenic-ICP-DRIEMERZSCH, S; STEIB, F; WASISTO, H. S et al.Microsystem technologies. 2014, Vol 20, Num 4-5, pp 759-767, issn 0946-7076, 9 p.Conference Paper

Single mask fabrication process for movable MEMS devicesALAMIN DOW, Ali B; GOUGAM, Adel; KHERANI, Nazir P et al.Microsystem technologies. 2014, Vol 20, Num 4-5, pp 955-961, issn 0946-7076, 7 p.Conference Paper

Micromachined Microsieves With High Aspect Ratio FeaturesVAETH, Kathleen M.Journal of microelectromechanical systems. 2012, Vol 21, Num 1, pp 68-75, issn 1057-7157, 8 p.Article

Sapphire surface patterning using femtosecond laser micromachiningCHANG, Cho-Wei; CHEN, Chien-Yu; CHANG, Tien-Li et al.Applied physics. A, Materials science & processing (Print). 2012, Vol 109, Num 2, pp 441-448, issn 0947-8396, 8 p.Article

DRIE fabrication of notch-free silicon structures using a novel silicon-on-patterned metal and glass waferKI HOON KIM; SANG CHEOL KIM; KYU YEON PARK et al.Journal of micromechanics and microengineering (Print). 2011, Vol 21, Num 4, issn 0960-1317, 045018.1-045018.6Article

Effects of Ag nanoshape and AgGa phase in Ag-Si nanostructure using 2-step etching processHU, Zhan-Shuo; HUNG, Fei-Yi; CHANG, Shoou-Jinn et al.Journal of alloys and compounds. 2011, Vol 509, Num 3, pp 758-763, issn 0925-8388, 6 p.Article

High-throughput anisotropic plasma etching of polyimide for MEMSBLIZNETSOV, Vladimir; MANICKAM, Anbumalar; JUNWEI CHEN et al.Journal of micromechanics and microengineering (Print). 2011, Vol 21, Num 6, issn 0960-1317, 067003.1-067003.4Article

High quality shadow masks for top contact organic field effect transistors using deep reactive ion etchingALJADA, Muhsen; MUTKINS, Karyn; VAMVOUNIS, George et al.Journal of micromechanics and microengineering (Print). 2010, Vol 20, Num 7, issn 0960-1317, 075037.1-075037.6Article

Imprinted polymer stamps for UV-NILHAATAINEN, T; MÄKELÄ, T; AHOPELTO, J et al.Microelectronic engineering. 2009, Vol 86, Num 11, pp 2293-2296, issn 0167-9317, 4 p.Article

Developing Quartz Wafer Mold Manufacturing Process for Patterned MediaCHIBA, Tsuyoshi; FUKUDA, Masaharu; ISHIKAWA, Mikio et al.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7379, issn 0277-786X, isbn 978-0-8194-7656-2 0-8194-7656-0, 1Vol, 73792Q.1-73792Q.9Conference Paper

Fabrication and transport properties of 12CaO.7Al2O3(C12A7) electride nanowireNISHIO, Y; NOMURA, K; MIYAKAWA, M et al.Physica status solidi. A, Applications and materials science (Print). 2008, Vol 205, Num 8, pp 2047-2051, issn 1862-6300, 5 p.Article

  • Page / 17