Pascal and Francis Bibliographic Databases

Help

Search results

Your search

kw.\*:("Instrucción")

Filter

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Document Type [dt]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Publication Year[py]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Discipline (document) [di]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Language

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Author Country

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Origin

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Results 1 to 25 of 2338

  • Page / 94
Export

Selection :

  • and

A new mode declaration for tabled predicatesGUO, Hai-Feng; GUPTA, Gopal.Lecture notes in computer science. 2003, pp 485-486, issn 0302-9743, isbn 3-540-20642-6, 2 p.Conference Paper

Three control flow obfuscation methods for Java softwareHOU, T. W; CHEN, H. Y; TSAI, M. H et al.IEE Proceedings - Software (Print). 2006, Vol 153, Num 2, pp 80-86, issn 1462-5970, 7 p.Article

iHARP: a multiple instruction issue processorSTEVEN, G. B; ADAMS, R. G; FINDLAY, P. A et al.IEE proceedings. Part E. Computers and digital techniques. 1992, Vol 139, Num 5, pp 439-449, issn 0143-7062Article

Workshop 17 : Instruction-level parallelismARVIND, D. K.Lecture notes in computer science. 1997, pp 1041-1042, issn 0302-9743, isbn 3-540-63440-1Conference Paper

Performance evaluation of a decoded instruction cache for variable instruction lenght computersINTRATER, G. D; SPILLINGER, I. Y.IEEE transactions on computers. 1994, Vol 43, Num 10, pp 1140-1150, issn 0018-9340Article

Applying machine learning for ensemble branch predictorsLOH, Gabriel H; HENRY, Dana S.Lecture notes in computer science. 2002, pp 264-274, issn 0302-9743, isbn 3-540-43781-9, 11 p.Conference Paper

Three architectural models for compiler-controlled speculative executionCHANG, P. P; WARTER, N. J; MAHLKE, S. A et al.IEEE transactions on computers. 1995, Vol 44, Num 4, pp 481-494, issn 0018-9340Article

Validation de la compilation des boucles while Data-Parallel C sur des architectures à mémoire partagée = Validation of a collection of while loops in Data-parallel C on shared memory architecturesUtard, Gil.1994, 21 p.Report

Mathematical Foundation of Trace SchedulingBANERJEE, Utpal.ACM transactions on programming languages and systems. 2011, Vol 33, Num 3, issn 0164-0925, 10.1-10.24Article

Effects of pre-sleep simulated on-call instructions on subsequent sleepWUYTS, Johan; DE VALCK, Elke; VANDEKERCKHOVE, Marie et al.Biological psychology. 2012, Vol 91, Num 3, pp 383-388, issn 0301-0511, 6 p.Article

Information literacy instruction in four Vietnamese university librariesDIEP, Kim Chi; NAHL, Diane.The International information & library review (Print). 2011, Vol 43, Num 4, pp 198-206, issn 1057-2317, 9 p.Article

The time-intensity method for sensory evaluation : effect of the nature of the instruction on assessors response = La méthode d'évaluation sensorielle temps-intensité : effet de la nature de l'instruction sur la réponse des sujetsGODARD, C; SAUVAGEOT, F.Sciences des aliments. 1993, Vol 13, Num 2, pp 345-352, issn 0240-8813Conference Paper

Novice mistakes: are the folk wisdoms correct?SPOHRER, J. C; SOLOWAY, E.Communications of the ACM. 1986, Vol 29, Num 7, pp 624-632, issn 0001-0782Article

Endorsement of the CONSORT statement by high impact medical journals : survey of instructions for authorsALTMAN, Douglas G.BMJ. British medical journal (International ed.). 2005, Vol 330, Num 7499, pp 1056-1057, issn 0959-8146, 2 p.Article

An Analysis of State Public Health Emergency DeclarationsRUTKOW, Lainie.American journal of public health (1971). 2014, Vol 104, Num 9, pp 1601-1605, issn 0090-0036, 5 p.Article

Beyond Traditional Literacy Instruction: Toward an Account-Based Literacy Training Curriculum in LibrariesCIRELLA, David.Computers in libraries. 2012, Vol 32, Num 10, pp 5-8, issn 1041-7915, 4 p.Article

To Inhale or Not to Inhale: Is That the Question? A Simple Method of DPI InstructionAMIRAV, Israel; NEWHOUSE, Michael T.The Journal of pediatrics. 2010, Vol 156, Num 2, issn 0022-3476, p. 339Article

The internal structure of stopping as revealed by a sensory detection taskWALSH, Eamonn; HAGGARD, Patrick.Experimental brain research. 2007, Vol 183, Num 3, pp 405-410, issn 0014-4819, 6 p.Article

Computer instruction set usage by programmers: an empirical investigationCOULTER, N. S; KELLY, N. H.Communications of the ACM. 1986, Vol 29, Num 7, pp 643-647, issn 0001-0782Article

Consignes à respecter après une biopsie musculaire : Maladies musculairesLa Revue du praticien (Paris). 2008, Vol 58, Num 20, pp 2274-2274, issn 0035-2640, 1 p.Article

The Non-continuous Direction Vector I TestMINYI GUO; CHANG, Weng-Long; JIANNONG CAO et al.International Symposium on Parallel Architectures, Algorithms, and Networks. 2004, pp 332-337, isbn 0-7695-2135-5, 1Vol, 6 p.Conference Paper

Client compliance with homework directives during counselingWORTHINGTON, E. L. JR.Journal of counseling psychology. 1986, Vol 33, Num 2, pp 124-130, issn 0022-0167Article

Task role ambiguity, satisfaction, and the moderating effect of task instruction sourceSIEGALL, M; CUMMINGS, L. L.Human relations (New York, NY). 1986, Vol 39, Num 11, pp 1017-1032, issn 0018-7267Article

Instructionless learning about a complex device: the paradigm and observationsSHRAGER, J; KLAHR, D.International journal of man-machine studies. 1986, Vol 25, Num 2, pp 153-189, issn 0020-7373Article

Retaliation following provocation: impact of moral judgment maturity and «moral» instructional setSAWYER, D; MCCARREY, M.Current psychological research & reviews. 1986, Vol 5, Num 3, pp 246-260, issn 0737-8262Article

  • Page / 94