Pascal and Francis Bibliographic Databases

Help

Search results

Your search

kw.\*:("Pipeline processor")

Document Type [dt]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Publication Year[py]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Discipline (document) [di]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Language

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Author Country

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Results 1 to 25 of 958

  • Page / 39
Export

Selection :

  • and

Pipelines for divide-and-conquer functionsDE GUZMAN, I. P; HARRISON, P. G; MEDINA, E et al.Computer journal (Print). 1993, Vol 36, Num 3, pp 254-268, issn 0010-4620Article

Microprogrammable pipelined vector processorMADESWARAN, V; MATHIALAGAN, A.Computers in industry. 1990, Vol 13, Num 4, pp 367-370, issn 0166-3615Article

Data synchronized pipeline architecture : pipelining in multiprocessor environmentsJEGOU, Yvon; SEZNEC, André.Rapport de recherche - Informatique et mathématiques appliquées de Grenoble, ISSN: 0750-7380 ; 503. 1986, 1 p.Report

A note on the vectorization of scalar recursionsAXELSSON, O; EIJKHOUT, V.Parallel computing. 1986, Vol 3, Num 1, pp 73-83, issn 0167-8191Article

A pipelined binary coded decimal adder using the residue number systemADEGBENRO, O; SALAWU, R. I.International journal of electronics. 1987, Vol 62, Num 2, pp 209-217, issn 0020-7217Article

Modular data flow image processorIWASHITA, M; TEMMA, T; MATSUMOTO, K et al.NEC research & development. 1984, Num 74, pp 92-98, issn 0547-051XArticle

Tolerating branch predictor latency on SMTFALCON, Ayose; SANTANA, Oliverio J; RAMIREZ, Alex et al.Lecture notes in computer science. 2003, pp 86-98, issn 0302-9743, isbn 3-540-20359-1, 13 p.Conference Paper

Computing minima and maxima of digital images in pipeline image processing systems without hardware comparatorsSANZ, J. L. C; FLICKNER, M. D.Proceedings of the IEEE. 1985, Vol 73, Num 8, pp 1333-1334, issn 0018-9219Article

Hardware implementation of data flow machineYOSHIDA, M; NARUSE, T; NAKAMURA, O et al.Review of the electrical communication laboratories. 1984, Vol 32, Num 5, pp 803-812, issn 0029-067XArticle

Global Computing Private Then Shared?COWARD, Chris.Communications of the ACM. 2014, Vol 57, Num 8, pp 29-30, issn 0001-0782, 2 p.Article

Graduating Engineers in the U.S.: Bridging Engineering and Entrepreneurship for African-American High School StudentsWASHINGTON, A. Nicki; ANDERSON, Kenneth; WARNER, Grant et al.Frontiers in education : computer science & computer engineering. International conferenceWorldComp'2010. 2010, pp 156-160, isbn 1-60132-143-0, 5 p.Conference Paper

Radix-2n multiplier structures: a structured design methodologyIBRAHIM, M. K.IEE proceedings. Part E. Computers and digital techniques. 1993, Vol 140, Num 4, pp 185-190, issn 0143-7062Article

Memoryless pipelined trigonometric processorSHAOUT, A; VIERGEVER, T.Electronics Letters. 1992, Vol 28, Num 16, pp 1507-1508, issn 0013-5194Article

Building a Virtual World: The Pipeline and ProcessHALLISEY, Brad.Computer (Long Beach, CA). 2012, Vol 45, Num 12, pp 90-92, issn 0018-9162, 3 p.Article

The Revolution Inside the BoxOSKIN, Mark.Communications of the ACM. 2008, Vol 51, Num 7, pp 70-78, issn 0001-0782, 9 p.Article

Distributed Java platform with programmable MIMD capabilitiesKEANE, T; ALLEN, R; NAUGHTON, T. J et al.Lecture notes in computer science. 2003, pp 122-131, issn 0302-9743, isbn 3-540-00679-6, 10 p.Conference Paper

Optimizing content management system pipelines: Separation and merging of concernsNOGA, Markus; KRÜPER, Florian.Lecture notes in computer science. 2002, pp 252-267, issn 0302-9743, isbn 3-540-44284-7, 16 p.Conference Paper

Increasing processor performance by implementing deeper pipelinesSPRANGLE, Eric; CARMEAN, Doug.Proceedings - International Symposium on Computer Architecture. 2002, pp 25-34, issn 1063-6897, isbn 0-7695-1605-X, 10 p.Conference Paper

Improving the precise interrupt mechanism of software-managed TLB miss handlersJALEEL, Aamer; JACOB, Bruce.Lecture notes in computer science. 2001, pp 282-293, issn 0302-9743, isbn 3-540-43009-1Conference Paper

Loop shifting for loop compactionDARTE, A; HUARD, G.Lecture notes in computer science. 2000, pp 415-431, issn 0302-9743, isbn 3-540-67858-1Conference Paper

Mathematical model of pipeline computation organizationLIULIAKOV, A.Computers and artificial intelligence. 1990, Vol 9, Num 6, pp 529-543, issn 0232-0274, 15 p.Article

The nonuniform distribution of instruction-level and machine parallelism and its effect on performanceJOUPPI, N. P.IEEE transactions on computers. 1989, Vol 38, Num 12, pp 1645-1658, issn 0018-9340Article

Realization of computers using programmable logic unitsYAMADA, H; NAKAMURA, T; SHIGEI, Y et al.Systems and computers in Japan. 1987, Vol 18, Num 8, pp 47-56, issn 0882-1666Article

Data flow processor chip for image processingTEMMA, T; IWASHITA, M; MATSUMOTO, K et al.I.E.E.E. transactions on electron devices. 1985, Vol 32, Num 9, pp 1784-1791, issn 0018-9383Article

Increasing software-pipelined loops in the itanium-like architectureWENLONG LI; HAIBO LIN; YU CHEN et al.Lecture notes in computer science. 2004, pp 947-951, issn 0302-9743, isbn 3-540-24128-0, 5 p.Conference Paper

  • Page / 39