Pascal and Francis Bibliographic Databases

Help

Search results

Your search

kw.\*:("UV lithography")

Document Type [dt]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Publication Year[py]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Discipline (document) [di]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Language

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Author Country

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Results 1 to 25 of 1164

  • Page / 47
Export

Selection :

  • and

Asymmetric biasing for subgrid pattern adjustmentWONG, Alfred K; LIEBMANN, Lars W.SPIE proceedings series. 2001, pp 1548-1553, isbn 0-8194-4032-9, 2VolConference Paper

Layer-by-layer UV micromachining methodology of epoxy resist embedded microchannelsKITSARA, M; CHATZICHRISTIDI, M; NIAKOULA, D et al.Microelectronic engineering. 2006, Vol 83, Num 4-9, pp 1298-1301, issn 0167-9317, 4 p.Conference Paper

Development of advanced silylation process for 157-nm lithographySATOU, Isao; WATANABE, Manabu; WATANABE, Hiroyuki et al.Microelectronic engineering. 2001, Vol 57-58, pp 571-577, issn 0167-9317Conference Paper

Development of a Novel EUV Mask Protection Engineering Tool and Mask Handling TechniquesAMEMIYA, Mitsuaki; OTA, Kazuya; KAMONO, Takashi et al.Proceedings of SPIE, the International Society for Optical Engineering. 2007, pp 66073G.1-66073G.8, issn 0277-786X, isbn 978-0-8194-6745-4Conference Paper

Impact of EUV light scatter on CD control as a result of mask density changesKRAUTSCHIK, Christof; ITO, Masaaki; NISHIYAMA, Iwao et al.SPIE proceedings series. 2002, pp 289-301, isbn 0-8194-4434-0, 2VolConference Paper

The simulation of application of high transmittance AttPSM for sub-100 nm pattern in 248 nm lithographyLIN, Cheng-Ming; LOONG, Wen-An.Microelectronic engineering. 2001, Vol 57-58, pp 41-48, issn 0167-9317Conference Paper

Environmental data from the Engineering Test StandKLEBANOFF, L. E; GRUNOW, P. A; GRAHAM, S et al.SPIE proceedings series. 2002, pp 310-315, isbn 0-8194-4434-0, 2VolConference Paper

Naphthochinondiazid-haltige Photolacke für die Lithographie im UV-Bereich = Photoresists pour la lithographie UV contenant du diazide de naphtoquinone = Photoresists for UV-lithography containing naphtoquinone diazidPASCH, H; SCHULZE, H; LORKOWSKI, H.-J et al.Journal of information recording materials (1985). 1987, Vol 15, Num 2, pp 97-106, issn 0863-0453Article

Si-LiG process for inductive meso systemsBARBAROTO, Pedro R; DOI, Ioshiaki; FERREIRA, Luiz Otavio S et al.Applied surface science. 2003, Vol 212-13, pp 406-410, issn 0169-4332, 5 p.Conference Paper

Fabrication and Characterization of Polymer Hollow Microneedle Array Using UV Lithography Into MicromoldsWANG, Po-Chun; PAIK, Seung-Joon; SHUODAN CHEN et al.Journal of microelectromechanical systems. 2013, Vol 22, Num 5, pp 1041-1053, issn 1057-7157, 13 p.Article

Hypodermic-Needle-Like Hollow Polymer Microneedle Array: Fabrication and CharacterizationWANG, Po-Chun; PAIK, Seung-Joon; KIM, Seong-Hyok et al.Journal of microelectromechanical systems. 2014, Vol 23, Num 4, pp 991-998, issn 1057-7157, 8 p.Article

Potential and limitations of a T-NIL/UVL hybrid processSCHEER, H.-C; WISSEN, M; BOGDANSKI, N et al.Microelectronic engineering. 2010, Vol 87, Num 5-8, pp 851-853, issn 0167-9317, 3 p.Conference Paper

Optics ContaminationBAJT, Sasa.EUV lithography. SPIE Press Monograph. 2009, Vol 178, pp 227-259, isbn 978-0-8194-6964-9 978-0-4704-7155-5, 1Vol, 33 p.Book Chapter

Hybrid deep UV-e-beam lithography for the fabrication of dual damascene structuresMOLLARD, L; TEDESCO, S; DAL'ZOTTO, B et al.Microelectronic engineering. 2001, Vol 57-58, pp 269-275, issn 0167-9317Conference Paper

A novel spin coating technology for 248nm/193nm DUV lithography and low-k spin on dielectrics of 200/300mm wafersGURER, Emir; ZHONG, Tom; LEWELLEN, John et al.SPIE proceedings series. 2000, pp 805-817, isbn 0-8194-3617-8Conference Paper

Process margin enhancement for a 0.25 μm metal etch processCHUNG YI LEE; WEI WEN MA; ENG HOOI LIM et al.SPIE proceedings series. 2000, pp 865-880, isbn 0-8194-3617-8Conference Paper

EUV lithographyBakshi, Vivek.SPIE Press Monograph. 2009, Vol 178, isbn 978-0-8194-6964-9 978-0-4704-7155-5, 1Vol, xxvii, 673 p, isbn 978-0-8194-6964-9 978-0-4704-7155-5Book

EUV Source TechnologyBAKSHI, Vivek.EUV lithography. SPIE Press Monograph. 2009, Vol 178, pp 103-131, isbn 978-0-8194-6964-9 978-0-4704-7155-5, 1Vol, 29 p.Book Chapter

Specification, Fabrication, Testing, and Mounting of EUVL Optical SubstratesTAYLOR, John S; SOUFLI, Regina.EUV lithography. SPIE Press Monograph. 2009, Vol 178, pp 161-185, isbn 978-0-8194-6964-9 978-0-4704-7155-5, 1Vol, 25 p.Book Chapter

Fundamentals of EUVL ScannersOTA, Kazuya.EUV lithography. SPIE Press Monograph. 2009, Vol 178, pp 493-513, isbn 978-0-8194-6964-9 978-0-4704-7155-5, 1Vol, 21 p.Book Chapter

The first full-field EUV masks ready for printingMICKAN, Uwe; GROENEVELD, Rogier; DEMARTEAU, Marcel et al.Proceedings of SPIE, the International Society for Optical Engineering. 2006, pp 628105.1-628105.10, issn 0277-786X, isbn 0-8194-6356-6, 1VolConference Paper

L'optique en ligne de mire = Optics in the line of sightFERRARI, Thierry.Technologies internationales (Strasbourg). 2005, Num 119, pp 27-30, issn 1165-8568, 4 p.Article

Characterization and characteristics of a ULE®Glass tailored for the EUVL needsHRDINA, Kenneth E; HANSON, Benjamin Z; FENN, Philip M et al.SPIE proceedings series. 2002, pp 454-461, isbn 0-8194-4434-0, 2VolConference Paper

Spectroscopic characterization of acid mobility in chemically amplified resistsJESSOP, Julie L. P; GOLDIE, Scott N; SCRANTON, Alec B et al.SPIE proceedings series. 2000, pp 161-170, isbn 0-8194-3617-8Conference Paper

Approaches to rapid resist spreading on dispensing based UV-NILUSUKI, Kazuyuki; WAKAMATSU, Satoshi; OOMATSU, Tadashi et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7970, issn 0277-786X, isbn 978-0-8194-8529-8, 79700S.1-79700S.8Conference Paper

  • Page / 47